Přidat otázku mezi oblíbenéZasílat nové odpovědi e-mailem Pomoc s kodem ve VHDL prosím Vás

Zdravím,

potřeboval bych prosím Vás poradit, co který kod dělá a k čemu je, aspoň málo, jestli se v tom vyznáte, tak mi to pomůže děkuji.

Zadání projektu:

Vytvořte hrací automat ve VHDL.
AUtomat bude zobrazovat 4 měnící se symboly na dvou maticových LED displejích, hráč se bude snažit zastavit dva a více stejných symbolů pomocí tlačítka, automat bude za správné zastavení, automat bude za správné zastavení přičítat body a za špatné body odečítat.
-------------------------------------------------- -------------------------------------------------- -------------------------------------------------- -----------------------------------
Kody k vysvětlení (jaká je jejich uloha atp.) - začínám je vypisovat od začátku
1)

1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_unsigned.all;
4 use ieee.std_logic_arith.all;

2]

5
6 entity automat is
7 port
8 (
9 clk : in std_logic;
10 segment_1 : out std_logic_vector(7 downto 0);
11 segment_2 : out std_logic_vector(7 downto 0);
12 led_1 : out std_logic_vector(7 downto 0);
13 led_2 : out std_logic_vector(7 downto 0);
14 key : in std_logic
15 );
16 end automat;
-------------------------------------------------- ------------------------------------------
Dále tu přiložím soubory ve kterých jsou ty kody okroužkované.

Předmět Autor Datum
Jsi si jisty, ze sis vybral spravnou skolu? Podobne dotazy se tady obcas resi, ale ne vzdycky se naj…
kubik 22.06.2016 11:53
kubik
To je střední škola v Rožnově. ___KLIK___ Nejznámější postavička je pedagog Federmann, hifisti budo…
karel 22.06.2016 11:55
karel
Ty mas koukam hovno co na praci, ze sledujes vsechno, co se na ceskem internetu s(o)ustne... :-D poslední
kubik 22.06.2016 14:59
kubik

Zpět do poradny Odpovědět na původní otázku Nahoru